Verilog to VHDL Converter

Verilog to VHDL Converter
Télécharger maintenant

Verilog to VHDL Converter Classement & Résumé

Publicité

  • Rating:
  • Licence:
  • GPL
  • Nom de l'éditeur:
  • Kanai Lal Ghosh
  • Systèmes d'exploitation:
  • Windows All
  • Taille du fichier:
  • 22.3 MB

Verilog to VHDL Converter Mots clés


Verilog to VHDL Converter La description

Vous pouvez facilement comprendre ce que Verilog to VHDL Converter en lisant son nom. C'est une petite application destinée à vous fournir un moyen pratique de convertir le code Verilog en langage de programmation VHDL. Verilog et VHDL sont les langages de description du matériel qui trouvent leur utilisation pratique dans les systèmes électroniques et la modélisation et la programmation de circuit. Verilog to VHDL Converter est un traducteur HDL qui peut s'avérer utile pour tous les concepteurs d'électronique, permettant ainsi la traduction précise des conceptions de Verilog aux normes conformes à VHDL. Certains d'entre vous préfèrent sûrement la conversion manuelle à l'utilisation d'un outil dédié et vous pourriez simplement avoir un point là-bas, car la VHDL générée peut ne pas fonctionner correctement, nécessitant des rectifications manuelles supplémentaires destinées à garantir la compatibilité de type de données. L'application est construite en Java et est capable de traiter plusieurs fichiers Verilog à la fois. Si les fichiers d'entrée sont dans un ordre aléatoire, vous devez utiliser la fonction "tri" avant de continuer. Il existe des commandes pour créer des listes d'exclusion, générer une entité ou un composant exclusivement (celles correspondant à un haut ou à un module spécifié). Verilog to VHDL Converter ne peut être exécuté qu'à l'aide de la console de commande, mais cela ne devrait pas être gênant pour ceux qui travaillent avec des langues telles que Verilog et VHDL, suffisamment expérimentées pour gérer sa syntaxe simple. Le colis est livré avec un exemple pour démontrer comment la conversion est réellement effectuée. Un fichier Verilog d'entrée passe par une opération de pré-traitement (le fichier RTL correspondant est enregistré localement), puis l'application procède à l'analyse. Verilog to VHDL Converter est particulièrement utile pour les concepteurs qui travaillent dans un environnement capable de gérer les deux langues. En variante, il peut être intégré aux applications qui ne sont compatibles que par VHDL. Avis écrit par Mihaela Citea, dernière mise à jour le 4 janvier 2014


Verilog to VHDL Converter Logiciels associés