Simulink HDL Coder

Générez du code HDL des modèles Simulink et du code MATLAB.
Télécharger maintenant

Simulink HDL Coder Classement & Résumé

Publicité

  • Rating:
  • Licence:
  • Shareware
  • Nom de l'éditeur:
  • The MathWorks, Inc.
  • Site Internet de l'éditeur:
  • Systèmes d'exploitation:
  • Windows XP/2000/98
  • Taille du fichier:
  • N/A

Simulink HDL Coder Mots clés


Simulink HDL Coder La description

Modifier par SIMULINK HDL Coder génère des modèles Simulink, des diagrammes Stateflow et du code MATLAB intégré. Le code HDL généré automatiquement est une cible indépendante. Coder HDL Simulink génère un code Verilog conforme à la norme IEEE 1364-2001 et code VHDL conforme à la norme IEEE 1076. En conséquence, vous pouvez vérifier le code HDL généré automatiquement à l'aide de produits de vérification fonctionnelle populaires, y compris Cadence® Incisive®, Mentor Graphics® Modelics® et Synopsys® VCS®. Vous pouvez également cartographier le code HDL généré automatiquement dans des tableaux de gate de champ (FPGA) ou des circuits intégrés spécifiques à l'application (ASIC) à l'aide d'outils de synthèse populaires, tels que Altera® Quartus® II, Compiler Cadence Encounter® RTL Compiler, Mentor Graphics® Precision ®, Synopsys Design Compiler®, Synplicity® Synplify® et Xilinx® ISE . Codeur HDL Simulink génère également des bancs de test HDL qui vous aident à vérifier le code HDL généré à l'aide d'outils de simulation HDL. Principales caractéristiques Génère un code HDL synthétisable à partir de modèles Simulink et de code MATLAB incorporé pour les implémentations de DataPath Génère un code HDL synthétisable des cartes StateFlow pour les machines à étaties Finly et Moore et Control Logic Génère le code VHDL qui est compatible IEEE 1076 et code Verilog IEEE 1364-2001 Vous permet de créer des modèles bit-true et précis de cycle correspondant à vos spécifications de conception Simulink Vous permet de choisir parmi plusieurs implémentations architecturales HDL pour les blocs couramment utilisés Vous permet de spécifier le sous-système pour la génération de code HDL Vous permet de réutiliser le code HDL IP existant (avec des produits EDA Simulator Link) Génère des scripts de simulation et de synthèse


Simulink HDL Coder Logiciels associés